CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 钟 秒表

搜索资源列表

  1. FPGAdigitaltimer

    0下载:
  2. 本设计要实现一个具有预置数的数字钟的设计,具体要求如下: 1. 正确显示年、月、日 2. 正确显示时、分、秒 3. 具有校时,整点报时和秒表功能 4. 进行系统模拟仿真和下载编程实验,验证系统的正确性 -designed to achieve this with a number of preset clock design, and specific requirements are as follows : 1. Display correctly, , 2. d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:502688
    • 提供者:wangpeng
  1. stop

    0下载:
  2. 秒表程序,显示表钟 秒表程序,显示表钟
  3. 所属分类:DirextX编程

    • 发布日期:2008-10-13
    • 文件大小:8267
    • 提供者:查建文
  1. 基于CPLD的VHDL语言数字钟(含秒表)设计

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计
  3. 所属分类:VHDL编程

  1. SHUZIZHONG.rar

    0下载:
  2. 汇编语言实现数字钟功能,主要有时间、闹铃、秒表功能,课程设计,写的比较乱,希望耐心看,Digital clock assembly language functions, the main time, alarm, stopwatch functions, curriculum design, writing comparative chaos, I hope the patience to see
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2119
    • 提供者:JIYATAO
  1. DE2.rar

    0下载:
  2. 使用 DE2板制作的多功能数字钟,含有选择功能,秒表,电子表,闹钟,用7-segment LED液晶显示,可以通过LCD看当时状态 附有仿真波形,-Clk_Div,- Mode_Select,-Watch,-stop_watch,-Lcd_Module,-Total_Out source code,Simulation waveform
  3. 所属分类:assembly language

    • 发布日期:2017-05-14
    • 文件大小:3694635
    • 提供者:赵香君
  1. vhdl_miaobiao

    0下载:
  2. 用vhdl实现秒表的功能,具有秒表功能,有分、秒显示,后期可以自己添加闹钟的模块。 -Use VHDL to achieve the functions of a stopwatch with a stopwatch function, who, seconds indicates that the latter can add their own alarm clock module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3636
    • 提供者:佘斌
  1. clock

    0下载:
  2. 单片微机实验设计---数字时钟 文件内容: keil 下开发的源代码 并附带生成的hex文件 protuse下时钟的模拟电路,加载hex文件后可模拟仿真 附带较详细的实验报告 时钟功能: 时分秒显示 秒表 闹钟 日历 具体功能调试就知道了,还是蛮不错的,本人第一次做的单片机小功能。(收藏着)-Single-chip computer experiment design the content of the document--- Digit
  3. 所属分类:CSharp

    • 发布日期:2017-03-28
    • 文件大小:240051
    • 提供者:xxh
  1. complex-digital-clock

    0下载:
  2. 一个多功能数字钟汇编程序,具有基本时钟功能,年月日,秒表,24小时的倒计时,闹钟五个功能。-A multi-functional digital clock assembler with the basic clock function, date, stopwatch, 24-hour countdown, the five functional alarm clock.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:2036
    • 提供者:wei
  1. deCPLDVHDLshijong

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 -CPLD based on the VHDL language di
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:95274
    • 提供者:wuhuisong
  1. SHUZIZHONGVHDL

    0下载:
  2. 多功能数字钟的VHDL编程实现,有与其他数字钟不同的秒表,闹钟等更多功能-Multi-function digital clock of VHDL programming, digital clock with other different stopwatch, alarm clock function, such as more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:29289
    • 提供者:赵彪
  1. clock

    0下载:
  2. 该数字钟实现时钟运行,调整,倒计时,秒表功能,且精确度经调试一天的误差在2S内-The digital clock to achieve the clock running, adjustment, countdown, stopwatch function, and the accuracy of the error by testing one day with the 2S
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:132878
    • 提供者:wt
  1. e7v4

    0下载:
  2. 数字钟:显示,设置时间,设置闹铃(报时),秒表。 平台:quartusII 5.1。 说明:此版本中已将系统时钟调快,自己稍微改动一下即可,小小的考验,做出来会更有成就感!-digital clock:display time, set time, set alarm(use speaker to alarm), stopwatch. platform: quartusII 5.1 comment: there s a place to change if you want th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:2020596
    • 提供者:kn
  1. vhd_design

    0下载:
  2. 我学习VHDL的课程设计,是多功能数字钟,有闹钟,秒表等功能,多指教-I learned VHDL course design is multi-functional digital clock, there is an alarm clock, stopwatch functions, multi-Zhi Jiao
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:348691
    • 提供者:GUO-xc
  1. clock

    1下载:
  2. 采用Verilog HDL语言编写的多功能数字钟,包括四个功能:时间显示与设置、秒表、闹钟、日期显示与设置.-Using Verilog HDL language multi-functional digital clock, including the four functions: time display and settings, stopwatch, alarm clock, date display and settings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-14
    • 文件大小:3100375
    • 提供者:陈涵
  1. clock

    0下载:
  2. 单片机(AT89S52)实现多功能数字钟 该数字钟实现时钟运行,调整,倒计时,秒表功能,且精确度经调试一天的误差在2S内。 -SCM (AT89S52) to achieve multi-functional digital clock digital clock to achieve the clock is running, adjustment, countdown, stopwatch function, and the precision error by debugging
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:158829
    • 提供者:wt
  1. 1602

    0下载:
  2. 用C语言在单片机上用1602液晶实现一个数字钟,可定时,可当秒表功能-SCM using C language with the 1602 liquid crystal to achieve a number of minutes and can be from time to time may be when the stopwatch function
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:38074
    • 提供者:dqgcs
  1. Widget_Watch_VHDL

    0下载:
  2. 功能: (1)数字钟(2)数字跑表(3)调整时间 (4)闹钟设置 (5)日期设置。 设计总体构思: 将日期、时钟、秒表及闹钟功能分开实现。选择日期模式,则只显示年、月、日。选择时钟模式,则只显示时、分、秒。选择秒表模式,则只显示秒、毫秒。选择闹钟模式,显示为时、分、秒,另外加一个闹铃。 -Features:(1) digital clock (2) digital stopwatch (3) adjust the time (4) alarm settings (5) date
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:921200
    • 提供者:
  1. 秒表

    0下载:
  2. 数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们目前只采用LED数码管显示秒来显示最高秒为99秒的方式,根据数码管动态显示原理来进行显示,用基于C52单片机、12MHz的晶振产生振荡脉冲。(The accuracy and stability of the digital clock are much higher than that of the old mechanical clock. In this design, we only use LED digital display
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-17
    • 文件大小:8192
    • 提供者:tzh123
  1. clock

    0下载:
  2. 在C51单片机上实现了数字钟功能,以及六种其他功能,包括日期显示、秒表、定时器、闹钟等(通过按键切换)。(The function of digital clock is realized on C51 microcontroller, and six other functions, including date display, stopwatch, timer, alarm clock and so on.)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:60416
    • 提供者:琳琳lin
  1. 课程设计-数字钟

    2下载:
  2. 具有计时 设置时间 闹钟 秒表 功能的数字钟设计 外设矩阵键盘(Digital clock design peripheral matrix keyboard with the function of timing setting time alarm clock stopwatch)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-15
    • 文件大小:13769728
    • 提供者:peennnnnn
« 12 3 4 »
搜珍网 www.dssz.com